Skip to main content

Youtao Zhang

University of Pittsburgh
Computer Science
Profile

Dr. Youtao Zhang joined the Department of Computer Science in January of 2006. He completed his PhD in Computer Science at the University of Arizona in 2002. Prior to joining the department, he was an assistant professor in the Department of Computer Science, University of Texas at Dallas.

His research interests are in the area of the quantum computing, computer architecture, computer security, and storage systems. He is the recipient of US NSF Career Award in 2005. He is a member of the ACM and the IEEE.

Research

Quantum computing, computer architecture, computer security, and storage systems.

Most Cited Publications

1. Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang, A Durable and Energy Efficient Main Memory Using Phase Change Memory Technology, ACM/IEEE 36th International Symposium on Computer Architecture, pages 14-23, Austin, Texas, June 2009.

2. Ping Zhou, Bo Zhao, Jun Yang, and Youtao Zhang, Energy Reduction for STT-RAM Using Early Write Termination, IEEE/ACM International Conference on Computer-Aided Design, pages 264-268, San Jose, CA, November 2009.

3. Xiangyu Zhang, Rajiv Gupta, and Youtao Zhang, Precise Dynamic Slicing Algorithms, IEEE/ACM International Conference on Software Engineering, pages 319-329, Portland, Oregon, May 2003. ICSE 2003 Distinguished Paper Award.

4. Jun Yang, Youtao Zhang, and Rajiv Gupta, Frequent Value Compression in Data Caches, The 33rd IEEE/ACM International Symposium on Microarchitecture, pages 258-265, Monterey, CA, December 2000.

5. Jun Yang, Youtao Zhang and Lan Gao, Fast Secure Processor for Inhibiting Software Piracy and Tampering, The 36th IEEE/ACM International Symposium on Microarchitecture, pages 351-360, San Diego, December 2003.

Recent Publications

1. Yanan Guo, Dingyuan Cao,Xin Xin, Youtao Zhang, and Jun Yang, Uncore Encore: Covert Channels Exploiting Uncore Frequency Scaling, The 56th IEEE/ACM International Symposium on Microarchitecture, Toronto, Canada, October 2023.

2. Yue Dai, Xulong Tang, and Youtao Zhang, FlexGM: An Adaptive Runtime System to Accelerate Graph Matching Networks on GPUs, The 41st IEEE International Conference on Computer Design, November 2023.

3. Liang Liu, Yanan Guo, Youtao Zhang, and Jun Yang, Understanding and Defending Patched-based Adversarial Attacks for Vision Transformer, The Fortieth International Conference on Machine Learning, Hawaii, July 2023.

4. Yingheng Li, Aditya Pawar, Mohadeseh Azari, Yanan Guo, Youtao Zhang, Jun Yang, Kaushik Seshadreesan, and Xulong Tang, Orchestrating Measurement-Based Quantum Computation over Photonic Quantum Processors, The 60th ACM/IEEE Design Automation Conference, San Francisco, July 2023.

5. Yilun Zhao, Yanan Guo, Yuan Yao, Amanda Dumi, Devin M Mulvey, Shiv Upadhyay, Youtao Zhang, Kenneth D Jordan, Jun Yang, and Xulong Tang, Q-GPU: A Recipe of Optimizations for Quantum Circuit Simulation Using GPUs, The 28th IEEE International Symposium on High-Performance Computer Architecture, Seoul, South Korea, February 2022.